Jun 03, 2022 09:48 JST

Source: Hitachi, Ltd.

Hitachi High-Tech Launches Dark Field Wafer Defect Inspection System DI2800, Achieving High-Sensitivity 100% Inspection for Semiconductor Devices in the IoT and Automotive Fields
Helping to improve the reliability and safety of semiconductor devices in the IoT and automotive fields

TOKYO, Jun 03, 2022 - (JCN Newswire) - Hitachi High-Tech Corporation announced the launch of the Hitachi Dark Field Wafer Defect Inspection System DI2800, a critical component in any semiconductor manufacturer's metrology capabilities. The DI2800 is a high-speed metrology system designed to identify defects and particles on patterned wafers up to 8 inches (200 mm) in diameter. With its high throughput and performance, the DI2800 can help ensure device reliability and safety, particularly for highly sensitive applications such as Internet of Things (IoT) and automotive fields where 100% inspection is required.

Hitachi Dark Field Wafer Defect Inspection System DI2800
 


Development Background

With the rise of next generation communication networks (5G) and accelerated adoption of electric vehicles in recent years there has been an increase in demand for semiconductor devices in the IoT and automotive fields where reliability and safety are paramount. In conventional semiconductor device manufacturing, spot-check inspections are performed for the purposes of process management and yield improvement. However, for semiconductor devices used in the IoT and automotive fields, 100% inspection must be carried out to help identify defective products during manufacturing to ensure high reliability and safety. To serve these fields a wafer defect inspection system must have the ability to perform 100% inspection with high sensitivity at high speed.

Key Features

Hitachi High-Tech has developed Dark Field Wafer Defect Inspection System DI2800 to respond to these market needs. This product uses scattering-intensity simulation technology to optimize the illumination and detection optics, enabling highly sensitive inspection of patterned-wafer defects developed during the manufacturing process. It has a detection sensitivity of 0.1-micron standard particle size on mirrored wafers. This performance is even possible on devices as small as 0.3-mm square, which has previously proved challenging due to sensitivity and data processing limitations. With a highly optimized inspection sequence, the DI2800 is capable of throughputs of over 40 200-mm wafers per hour.

Future Initiatives

Hitachi High-Tech will provide this product to customers in combination with the Advanced CD Measurement SEM CS4800 and 3D SEM CT1000, which are already on the market, meeting the diverse metrology needs mass production of semiconductor devices for the IoT and automotive fields. Going forward, Hitachi is committed to product improvement by leveraging data collected from all three product lines to offer new data-based, value-added solutions, contributing to the improved reliability and safety of semiconductor devices in these fields.

Hitachi High-Tech is working to meet customers' needs in metrology and inspection for the R&D and mass production of semiconductor devices by supplying electron beam-based products such as traditional CD-SEM and wafer inspection systems based on optical technologies. Hitachi High-Tech will continue to provide innovative solutions for the upcoming technology challenges. Hitachi High-Tech will contribute to the development of cutting-edge technologies by creating new social and environmental value in collaboration with its customers.

About Hitachi High-Tech

Hitachi High-Tech, headquartered in Tokyo, Japan, is engaged in activities in a broad range of fields, including manufacture and sales of clinical analyzers, biotechnology products, and analytical instruments, semiconductor manufacturing equipment and analysis equipment. and providing high value-added solutions in fields of social & industrial infrastructures and mobility, etc. The company's consolidated revenues for FY 2021 were approx. JPY 576.8 billion [USD 5.1 billion]. For further information, visit http://www.hitachi-hightech.com/global/

Source: Hitachi, Ltd.
Sectors: Electronics

Copyright ©2024 JCN Newswire. All rights reserved. A division of Japan Corporate News Network.

Related Press Release


Hitachi Energy and SP Energy Networks to boost renewable energy flow
April 05 2024 16:15 JST
 
Hitachi Selected as "Excellent TCFD Disclosure" by GPIF's External Asset Managers for the Third Consecutive Year
April 05 2024 16:00 JST
 
JFE Steel and Hitachi Jointly Started Providing Solutions for the Steel Industry
March 26 2024 18:04 JST
 
Hitachi Selected as CDP Supplier Engagement Leader for the Third Consecutive Year
March 22 2024 15:04 JST
 
Hitachi Collaborates with NVIDIA to Accelerate Digital Transformation with Generative AI
March 19 2024 18:11 JST
 
Hitachi Vantara Announces Collaboration with NVIDIA to Create New Portfolio of Industrial AI Solutions
March 19 2024 11:35 JST
 
Hitachi High-Tech Launches High-sensitivity and High-throughput Wafer Surface Inspection System LS9300AD for Wafer Manufacturers
March 15 2024 10:21 JST
 
Hitachi Forges a Three Year Strategic Alliance with AWS to Advance Hybrid Cloud Solutions
March 11 2024 15:44 JST
 
Hitachi Energy and TransnetBW make German grid fit for future
February 15 2024 10:22 JST
 
Contributing to Efficient Development of Metal Thin Film Materials by Using Chemicals Informatics and Materials Informatics
February 09 2024 12:41 JST
 
More Press release >>

Latest Press Release


More Latest Release >>